CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpu 流水线

搜索资源列表

  1. CPU

    3下载:
  2. 使用verilog作为CPU设计语言实现单数据通路五级流水线的CPU。具有32个通用寄存器、一个程序计数器PC、一个标志寄存器FLAG,一个堆栈寄存器STACK。存储器寻址粒度为字节。数据存储以32位字对准。采用32位定长指令格式,采用Load/Store结构,ALU指令采用三地址格式。支持有符号和无符号整数加、减、乘、除运算,并支持浮点数加、减、乘、除四种运算,支持与、或、异或、非4种逻辑运算,支持逻辑左移、逻辑右移、算术右移、循环右移4种移位运算,支持Load/Store操作,支持地址/立即
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:43474
    • 提供者:haotianr
  1. MIPS五级流水线模拟程序

    0下载:
  2. MIPS五级流水线模拟程序,能执行简单的MIPS指令,模拟流水线状态及寄存器结果,实现cpu流水的概念-MIPS five-level stream-line simulation program, this program can execute simple MIPS instruction, simulat stream-line s status and register result, and it implements stream-line of cpu.
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:237275
    • 提供者:黄欣
  1. 流水线CPU

    0下载:
  2. 流水线CPU的设计流程
  3. 所属分类:matlab例程

  1. pipelined-mips-cpu

    6下载:
  2. 用verilog语言描述了MIPS的5级流水线。-Language described by verilog MIPS 5-stage pipeline.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-27
    • 文件大小:171008
    • 提供者:jack chen
  1. PIPE_LINING_CPU_TEAM_24

    1下载:
  2. 采用Quatus II编译环境,使用Verilog HDL语言编写实现了五段流水线CPU。 能够完成以下二十二条指令(均不考虑虚拟地址和Cache,并且默认为小端方式): add rd,rs,rt addu rd,rs,rt addi rt,rs,imm addiu rt,rs,imm sub rd,rs,rt subu rd,rs,rt nor rd,rs,rt xori rt,rs,imm clo rd,rs clz rd,rs slt rd,rs,rt sltu rd,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4947366
    • 提供者:
  1. CPU

    1下载:
  2. verilog编写CPU: 1. 哈佛存储器结构,大端格式; 2. 类MIPS精简指令集,支持子程序调用和软中断; 3. 实现了乘除法; 4. 五级流水线,工作频率可达80MHz(每个时钟周期一条指令,不计流水线冲突)。 -MIPS like CPU using verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-19
    • 文件大小:16978
    • 提供者:yk
  1. mips

    0下载:
  2. 在maxplus上实现了一个5级流水线的mips cpu,含cache-In maxplus to achieve a 5-stage pipeline of the mips cpu, with cache
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-22
    • 文件大小:449973
    • 提供者:tong tong
  1. CPU

    0下载:
  2. 32位5级流水线CPU设计指令系统、指令格式、寻址方式、寄存器结构、数据表示方式、存储器系统、运算器、控制器和流水线结构等-32bit pipeline CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:187339
    • 提供者:znl
  1. riscpu

    0下载:
  2. 一个32位微处理器的verilog实现源代脉,采用5级流水线和cache技术.-a 32 Microprocessor verilog achieve pulse generation sources, used five lines and cache technology.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:152895
    • 提供者:大为
  1. mipscpudesign

    0下载:
  2. cpu设计实例mips。MIPSI指令集32位CPU (1)MiniCore设计实例全32位操作,32个32位通用寄存器,所有指令和地址全为32位 (2)静态流水线(3~5级) (3)Forwarding技术 (4)片内L1 Cache,指令、数据各4KByte,硬件初始化 (5)没有TLB,但系统控制协处理器(CP0)具有除页面映射外的全部功能 -cpu design example mips. MIPSI instruction set 32-bit CPU (1)
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-25
    • 文件大小:27938
    • 提供者:游笑
  1. 5_lined_cpu

    0下载:
  2. 简单5级流水线CPU的verilog逻辑设计-Simple line 5 of the CPU logic design verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1163
    • 提供者:张健
  1. CPU_verilog

    1下载:
  2. 一个4级流水线CPU的verilog代码,供参考学习使用,有些语句不能综合,可以通过它学习CPU的工作原理。-A 4-stage pipeline CPU' s verilog code, learning to use for reference, some statements can not be integrated, you can learn from CPU through its works.
  3. 所属分类:Windows Develop

    • 发布日期:2016-07-15
    • 文件大小:63835
    • 提供者:xq
  1. cpu16

    0下载:
  2. 实现一个16位CPU。该CPU使用精减指令集,是一个五段流水线的结构。包括取指令(IF)、读寄存器(RD)、运算器(ALU)、内存读写(MEM)和写回(WB)。-The realization of a 16-bit CPU. Streamline the use of the CPU instruction set is a structure of five lines. Including fetch (IF), register read (RD), arithmetic logic u
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:6205
    • 提供者:周健
  1. 6_seg_cpu

    0下载:
  2. 我写的6段流水线cpu,供大家参考。里面包括了alu memory topcpu等模块-I wrote a six-stage pipeline CPU, for your reference
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:656578
    • 提供者:lijiaxin
  1. CPU

    0下载:
  2. 32位精简指令处理器 非流水线版 具有无极流水线-32bitRISK CPU without pipeline
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:22963
    • 提供者:Melody
  1. cpudesheji

    0下载:
  2. CPUname是RISC处理器,采用普林斯顿体系结构,CPU与数据存储器间的通信使用Load/Store指令实现,数据存储采取统一的32位字长格式,32位定长指令,地址指令格式。使用专用数据通路结构,四级流水线,分为取指及译码,取数,运算,回写四步,拥有相关专用通路以解决数据相关问题,对跳转指令应用分支预测技术,使其不影响流水。-CPUname is a RISC processor, using the Princeton architecture, CPU and data memory,
  3. 所属分类:assembly language

    • 发布日期:2017-05-26
    • 文件大小:8875264
    • 提供者:张晓风
  1. PipelineCPU

    0下载:
  2. 用Verilog实现一个简单的流水线CPU,并运行一个Quicksort程序。这是Berkley,eecs系的计算机系统结构课程实验的实验三。-This file is written in Verilog to achieve a simple pipeline CPU, which can run a Quicksort program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:28787
    • 提供者:Matgek
  1. CPUsourcecode

    0下载:
  2. 本设计实现了一个具有标准的32位5级流水线架构的MIPS指令兼容CPU系统。具备常用的五十余条指令,解决了大部分数据相关,结构相关,乘除法的流水化处理等问题,并实现了可屏蔽的中断网络。-This design implements a standard 32-bit 5-stage pipeline architecture of MIPS instruction compatible CPU system. Instructions with more than 50 commonly use
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:93517
    • 提供者:李敏
  1. cpu

    0下载:
  2. cpu流水线代码,完整实现所有指令,包含top顶层文件的实现和仿真代码-cpu lines of code, complete implementation of all the instructions, including the implementation and simulation code top top level file
  3. 所属分类:Windows Kernel

    • 发布日期:2017-05-03
    • 文件大小:711436
    • 提供者:柯晓鸿
  1. CPU-Pipeline

    2下载:
  2. 五级流水线的CPU的工程文件,在vivado上用verilog语言实现,包括串口,可进行简单的数学加法运算。(Five-stage pipeline CPU project files, including the serial port. vivado Verilog language. This CPU can do simple mathematical addition.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:14336
    • 提供者:Si Cheng
« 12 3 4 5 6 »
搜珍网 www.dssz.com